patches/glibc/ports-2.10.1/530-alpha-fix-rtld-fPIC.patch
author "Yann E. MORIN" <yann.morin.1998@anciens.enib.fr>
Sun Sep 12 23:38:18 2010 +0200 (2010-09-12)
changeset 2120 48de021b3a9e
permissions -rw-r--r--
arch/mips: add experimental mips64 samples

Both toolchains were tested to successfully:
- _build_ busybox, giving respectively an n32 and an n64 binary
although it has not been run-tested.
- build linux-2.6.36-rc3 for Loongson, giving an n64 vmlinux
(which is expected, due to the way the kernel is built),
and the kernel properly boots!

Credits are due to Julien MOUTHINO (julm on freenode#uClibc) for
testing that the kernel boots on his hardware! Cheers! ;-)

Signed-off-by: "Yann E. MORIN" <yann.morin.1998@anciens.enib.fr>
yann@1625
     1
2009-05-26  Aurelien Jarno  <aurelien@aurel32.net>
yann@1625
     2
yann@1625
     3
	* sysdeps/alpha/Makefile (CFLAGS-rtld.c): add $(PIC-ccflag).
yann@1625
     4
yann@1625
     5
 ports/sysdeps/alpha/Makefile |    3 ++-
yann@1625
     6
 1 file changed, 2 insertions(+), 1 deletion(-)
yann@1625
     7
yann@1625
     8
diff -durN glibc-2.10.1.orig/glibc-ports-2.10.1/sysdeps/alpha/Makefile glibc-2.10.1/glibc-ports-2.10.1/sysdeps/alpha/Makefile
yann@1625
     9
--- glibc-2.10.1.orig/glibc-ports-2.10.1/sysdeps/alpha/Makefile	2009-05-16 10:36:20.000000000 +0200
yann@1625
    10
+++ glibc-2.10.1/glibc-ports-2.10.1/sysdeps/alpha/Makefile	2009-11-13 00:51:13.000000000 +0100
yann@1625
    11
@@ -35,7 +35,8 @@
yann@1625
    12
 
yann@1625
    13
 ifeq ($(subdir),elf)
yann@1625
    14
 # The ld.so startup code cannot use literals until it self-relocates.
yann@1625
    15
-CFLAGS-rtld.c = -mbuild-constants
yann@1625
    16
+# It uses more than 64k for the small data area.
yann@1625
    17
+CFLAGS-rtld.c = -mbuild-constants $(PIC-ccflag)
yann@1625
    18
 endif
yann@1625
    19
 
yann@1625
    20
 # Build everything with full IEEE math support, and with dynamic rounding;
yann@1625
    21
diff -durN glibc-2.10.1.orig/ports/sysdeps/alpha/Makefile glibc-2.10.1/ports/sysdeps/alpha/Makefile